sbsv1/buildsystem/extension/base/h4_restricted_on_coreldr.mk
changeset 40 68f68128601f
equal deleted inserted replaced
39:fa9d7d89d3d6 40:68f68128601f
       
     1 # Copyright (c) 2007-2009 Nokia Corporation and/or its subsidiary(-ies).
       
     2 # All rights reserved.
       
     3 # This component and the accompanying materials are made available
       
     4 # under the terms of "Eclipse Public License v1.0"
       
     5 # which accompanies this distribution, and is available
       
     6 # at the URL "http://www.eclipse.org/legal/epl-v10.html".
       
     7 #
       
     8 # Initial Contributors:
       
     9 # Nokia Corporation - initial contribution.
       
    10 #
       
    11 # Contributors:
       
    12 #
       
    13 # Description:
       
    14 # #  NB! LINKBASE :  Code execute address also set coreldr.lnk file 
       
    15 # #  MUST REFLECT CORELOADER ADDRESS RELOCATION IN BOTH FILES!!
       
    16 # 
       
    17 #
       
    18 
       
    19 TMPROOT:=$(subst \,/,$(EPOCROOT))
       
    20 EPOCROOT:=$(patsubst %/,%,$(TMPROOT))/
       
    21 
       
    22 include $(EPOCROOT)epoc32/tools/shell/$(notdir $(basename $(SHELL))).mk
       
    23 
       
    24 
       
    25 ## If any of these macros are changed, then execute "abld clean coreldr" from this directory
       
    26 ## Use this macro if it is required to use the MMU
       
    27 ## if the MMU is not require either comment it out or set it FALSE
       
    28 USE_MMU := TRUE
       
    29   
       
    30 # This macro enables benchmarking code. Comment out or set FALSE if not required
       
    31 #WRITE_TIMINGS := TRUE
       
    32 
       
    33 ## This macro causes the page tables to be output. Comment out or set FALSE if not required
       
    34 ## If this option is selected then the MMU code will be enabled
       
    35 #DUMP_PAGE_TABLES := TRUE
       
    36 
       
    37 
       
    38 ## Make sure all 3 macros are either TRUE or FALSE
       
    39 # Enforce USE_MMU if page table is to be dumped
       
    40 ifeq "$(DUMP_PAGE_TABLES)" "TRUE"
       
    41 	USE_MMU := TRUE
       
    42 else
       
    43 	DUMP_PAGE_TABLES := FALSE
       
    44 endif
       
    45 
       
    46 ifneq "$(USE_MMU)" "TRUE"
       
    47 	USE_MMU := FALSE
       
    48 endif
       
    49 
       
    50 ifneq "$(WRITE_TIMINGS)" "TRUE"
       
    51 	WRITE_TIMINGS := FALSE
       
    52 endif
       
    53 
       
    54 #Set the directories
       
    55 GENSRCDIR := $(EXTENSION_ROOT)/../../../kernelhwsrv/kerneltest/e32utils/nandboot/coreldr
       
    56 BLSRCDIR := $(EXTENSION_ROOT)/../../../kernelhwsrv/kernel/eka/drivers/unistore2/srca/XSR/UTIL/ONBL2
       
    57 XSRSRCDIR1 := $(EXTENSION_ROOT)/../../../kernelhwsrv/kernel/eka/drivers/unistore2/srca/XSR/OAM/OSLess
       
    58 XSRSRCDIR2 := $(EXTENSION_ROOT)/../../../kernelhwsrv/kernel/eka/drivers/unistore2/srca/XSR/LLD/DNandO
       
    59 SPECSRCDIR := $(EXTENSION_ROOT)/../omaph4bsp/h4/nandboot/coreldr_onenand
       
    60 SPECXSRSRCDIR := $(EXTENSION_ROOT)/drivers/h4ons
       
    61 
       
    62 VARIANTINC := $(INC_PATH)/omap_hrp/h4
       
    63 VARIANTINC2 := $(EXTENSION_ROOT)/../omaph4bsp/shared/bootstrap
       
    64 VARIANTINC3 := $(EXTENSION_ROOT)/../omaph4bsp/h4/nand  $(EXTENSION_ROOT)/../omaph4bsp/assp/shared/assp $(EXTENSION_ROOT)/../omaph4bsp/assp/omap24xx/inc $(EXTENSION_ROOT)/../omaph4bsp/assp/omap24xx/assp $(EXTENSION_ROOT)/../omaph4bsp/assp/shared/interrupt $(EXTENSION_ROOT)/../omaph4bsp/assp/omap24xx/interrupt $(EXTENSION_ROOT)/../omaph4bsp/shared/gpio $(EXTENSION_ROOT)/../omaph4bsp/shared/mcspi $(EXTENSION_ROOT)/../omaph4bsp/assp/omap24xx/gpio $(EXTENSION_ROOT)/../omaph4bsp/assp/shared $(EXTENSION_ROOT)/../omaph4bsp/shared/cirq $(EXTENSION_ROOT)/../omaph4bsp/shared/dma $(EXTENSION_ROOT)/../omaph4bsp/assp/shared/dma
       
    65 
       
    66 GENINC1 := $(INC_PATH)
       
    67 GENINC3 := $(EPOCROOT)epoc32/include
       
    68 GENDRIVERINC := $(INC_PATH)/drivers
       
    69 GENINC2 := $(INC_PATH)/drivers/unistore2 $(INC_PATH)/memmodel/epoc $(INC_PATH)/kernel  $(INC_PATH)/kernel/arm $(INC_PATH)/nkern $(INC_PATH)/nkern/arm
       
    70 GENXSRINC := $(EXTENSION_ROOT)/../../../kernelhwsrv/kernel/eka/drivers/unistore2/srca/XSR/INC
       
    71 GENINCPATH:= $(GENSRCDIR) $(SPECSRCDIR) $(XSRSRCDIR1) $(XSRSRCDIR2) $(SPECXSRSRCDIR) $(VARIANTINC) $(VARIANTINC2) $(VARIANTINC3) $(GENINC1) $(GENDRIVERINC) $(GENINC2) $(GENINC3) $(GENINC4) $(GENXSRINC) $(GENINC3)
       
    72 
       
    73 # Set the source/include/target directories
       
    74 GSRCDIR = ../../../unref/orphan/cedgen/shared/nandboot
       
    75 VINCDIR = ../../../unref/orphan/cedgen/h4/inc
       
    76 GINCDIR = ../../../unref/orphan/cedgen/shared/inc
       
    77 EPOCINCDIR = $(INC_PATH)/omap_hrp/h4
       
    78 
       
    79 # Build directory (EPOCBLD too long)
       
    80 BUILDLOC = $(EPOCROOT)epoc32/build/omap_hrp/h4_restricted/unistore2/nandboot/coreldr/$(PLATFORM_PATH)
       
    81 
       
    82 # Set the target name
       
    83 TARGETDIR := $(EPOCROOT)epoc32/release/$(PLATFORM_PATH)
       
    84 TARGET = $(TARGETDIR)$/h4hrp_un2_on_coreldr.bin
       
    85 TMPTARGET = $(BUILDLOC)$/h4hrp_un2_on_coreldr.elf
       
    86 
       
    87 #Rules
       
    88 vpath %.s . $(SPECSRCDIR) $(SRCDIR)
       
    89 vpath %.inc . $(SPECSRCDIR) $(EPOCINCDIR)
       
    90 vpath %.ginc . $(BUILDLOC)
       
    91 
       
    92 VHEADERS := NandDevice.h
       
    93 BUILTINCLUDES := NandDevice.inc config.inc
       
    94 BUILTINCLUDES2 := nand_plat.inc
       
    95 
       
    96 ASMSOURCE := coreldrasm_onenand.s
       
    97 GENCPPSOURCE := inflate.cpp
       
    98 BLCPPSOURCE := ONbl2.cpp
       
    99 XSRCPPSOURCE1 := OSLessOAM.cpp
       
   100 XSRCPPSOURCE2 := onld.cpp
       
   101 SPECXSRCPPSOURCE := pam.cpp
       
   102 
       
   103 XSRLIB := $(EPOCROOT)epoc32/release/armv5/$(CFG)/nbl2.lib
       
   104 
       
   105 ifeq "$(USE_MMU)" "TRUE"
       
   106 	GENASMSOURCE := coreldrmmu.s # only link in the MMU stuff if required
       
   107 endif
       
   108 
       
   109 # HEADERS := inflate.h coreldr.h
       
   110 HEADERS := 
       
   111 SPECHEADERS := nand_plat.h
       
   112 
       
   113 ## Address at which coreloader binary is loaded and then started from
       
   114 #
       
   115 # On H4 this number is base of ram + 48MB (permitting 48MB core images)
       
   116 # this number is pretty arbitrary and may be raised higher into ram
       
   117 # if necessary as long as the corresponding change is also made to
       
   118 # KCoreLoaderAddress in variant_bootstrap.inc
       
   119 #
       
   120 LINKBASE = 0x83000000
       
   121 
       
   122 
       
   123 
       
   124 ARMASM_OUT := $(shell armasm 2>&1)
       
   125 ARMASM_OUT_4 := $(word 4,$(ARMASM_OUT))
       
   126 
       
   127 # Select the toolchain: ARM RVCT, then GCC
       
   128 
       
   129 # Use GCC toolchain if no other is available
       
   130 TOOLVER := GCC
       
   131 RVCTSTR := $(strip $(findstring RVCT, $(ARMASM_OUT_4)))
       
   132 ifeq "$(RVCTSTR)" "RVCT"
       
   133 	TOOLVER := RVCT
       
   134 	OP := --
       
   135 	OB := o
       
   136 endif
       
   137 
       
   138 # Build up logical TRUE defines
       
   139 ifeq "$(USE_MMU)" "TRUE"
       
   140 	ASM_TRUE_MACROS += USE_MMU
       
   141 endif 
       
   142 
       
   143 ifeq "$(WRITE_TIMINGS)" "TRUE"
       
   144 	ASM_TRUE_MACROS += WRITE_TIMINGS
       
   145 endif 
       
   146 
       
   147 ifeq "$(DUMP_PAGE_TABLES)" "TRUE"
       
   148 	ASM_TRUE_MACROS += DUMP_PAGE_TABLES
       
   149 endif 
       
   150 
       
   151 # Build up logical FALSE defines
       
   152 ifeq "$(USE_MMU)" "FALSE"
       
   153 	ASM_FALSE_MACROS += USE_MMU
       
   154 endif 
       
   155 
       
   156 ifeq "$(WRITE_TIMINGS)" "FALSE"
       
   157 	ASM_FALSE_MACROS += WRITE_TIMINGS
       
   158 endif 
       
   159 
       
   160 ifeq "$(DUMP_PAGE_TABLES)" "FALSE"
       
   161 	ASM_FALSE_MACROS += DUMP_PAGE_TABLES
       
   162 endif 
       
   163 
       
   164 #Arm RVCT tools
       
   165 ifeq "$(TOOLVER)" "RVCT"
       
   166 ASM_TRUE_MACROS += USE_CXSF
       
   167 ASM := armasm
       
   168 LINK := armlink
       
   169 FROMELF := fromelf
       
   170 CPP := armcc
       
   171 
       
   172 OBJEXT := o
       
   173 INCEXT := inc
       
   174 
       
   175 ARMCCFLAGS := --arm -c -Otime --cpp
       
   176 ARMCCFLAGS := $(ARMCCFLAGS) $(foreach dir,$(GENINCPATH),$(join -I, $(dir)))
       
   177 ARMCCFLAGS := $(ARMCCFLAGS) -DEKA2
       
   178 ARMCCFLAGS := $(ARMCCFLAGS) -DXSR_NBL2 -DREAL_TARGET -DSYMBIAN_SUPPORT_UNISTORE2 
       
   179 
       
   180 	ARMCCFLAGS := $(ARMCCFLAGS) --preinclude $(EPOCROOT)epoc32/include/rvct/rvct.h
       
   181 
       
   182 	ifeq "$(CFG)" "UDEB"
       
   183 	ARMCCFLAGS := $(ARMCCFLAGS) -D_DEBUG
       
   184 	endif
       
   185 
       
   186 ASM_TRUE_MACRO_CMD := $(foreach macro,$(ASM_TRUE_MACROS),$(OP)predefine "$(macro) SETL {TRUE}")
       
   187 ASM_FALSE_MACRO_CMD := $(foreach macro,$(ASM_FALSE_MACROS),$(OP)predefine "$(macro) SETL {FALSE}")
       
   188 ASM_LINKBASE_MACRO := $(OP)predefine "_LINKBASE_ SETA $(LINKBASE)"
       
   189 
       
   190 AFLAGS := -g $(OP)keep $(ASM_TRUE_MACRO_CMD) $(ASM_FALSE_MACRO_CMD) $(ASM_LINKBASE_MACRO) -I$(BUILDLOC) $(foreach dir,$(GENINCPATH),$(join -I, $(dir)))
       
   191 LFLAGS := $(OP)entry BootEntry $(OP)ro-base $(LINKBASE) $(OP)FIRST BootEntry $(OP)map
       
   192 SYMOPT := $(OP)symdefs
       
   193 ASMTYP := ARMASM
       
   194 LINKFILE :=
       
   195 
       
   196 define do_compile
       
   197 $(CPP) $(ARMCCFLAGS) $< -o $@
       
   198 endef
       
   199 define do_h2inc
       
   200 perl -S $(EPOCROOT)epoc32/tools/h2inc.pl $< $@ ARMASM
       
   201 endef
       
   202 define do_asm
       
   203 $(ASM) $(AFLAGS) -$(OB) $@ $(OP)LIST $(join $(basename $@),.lst) $<
       
   204 endef
       
   205 define do_link
       
   206 $(LINK) $(LFLAGS) -$(OB) $@ $(FULLOBJECTS)
       
   207 endef
       
   208 define do_strip
       
   209 $(FROMELF) $(OP)bin $(OP)output $@ $<
       
   210 endef
       
   211 endif
       
   212 
       
   213 #Header processing
       
   214 FULLHEADERS := $(addprefix $(GENSRCDIR)/,$(HEADERS))
       
   215 FULLSPECHEADERS := $(addprefix $(VARIANTINC)/,$(SPECHEADERS))
       
   216 
       
   217 FULLVHEADERS := $(addprefix $(GENDRIVERINC)/,$(VHEADERS))
       
   218 FULLBUILTINCLUDES := $(addprefix $(BUILDLOC)/,$(BUILTINCLUDES))
       
   219 $(FULLBUILTINCLUDES) : $(FULLVHEADERS)
       
   220 	$(do_h2inc)
       
   221 
       
   222 FULLVHEADERS2 := $(addprefix $(VARIANTINC)/,$(SPECHEADERS))
       
   223 FULLBUILTINCLUDES2 := $(addprefix $(BUILDLOC)/,$(BUILTINCLUDES2))
       
   224 $(FULLBUILTINCLUDES2) : $(FULLVHEADERS2)
       
   225 	$(do_h2inc)
       
   226 
       
   227 #object names
       
   228 GENCPPOBJECTS := $(foreach f,$(GENCPPSOURCE),$(basename $(f)).$(OBJEXT))
       
   229 FULLGENCPPOBJECTS := $(addprefix $(BUILDLOC)/,$(GENCPPOBJECTS))
       
   230 
       
   231 BLCPPOBJECTS := $(foreach f,$(BLCPPSOURCE),$(basename $(f)).$(OBJEXT))
       
   232 FULLBLCPPOBJECTS := $(addprefix $(BUILDLOC)/,$(BLCPPOBJECTS))
       
   233 
       
   234 XSRCPPOBJECTS1 := $(foreach f,$(XSRCPPSOURCE1),$(basename $(f)).$(OBJEXT))
       
   235 FULLXSRCPPOBJECTS1 := $(addprefix $(BUILDLOC)/,$(XSRCPPOBJECTS1))
       
   236 
       
   237 XSRCPPOBJECTS2 := $(foreach f,$(XSRCPPSOURCE2),$(basename $(f)).$(OBJEXT))
       
   238 FULLXSRCPPOBJECTS2 := $(addprefix $(BUILDLOC)/,$(XSRCPPOBJECTS2))
       
   239 
       
   240 SPECXSRCPPOBJECTS := $(foreach f,$(SPECXSRCPPSOURCE),$(basename $(f)).$(OBJEXT))
       
   241 FULLSPECXSRCPPOBJECTS := $(addprefix $(BUILDLOC)/,$(SPECXSRCPPOBJECTS))
       
   242 
       
   243 ASMOBJECTS := $(foreach f,$(ASMSOURCE),$(basename $(f)).$(OBJEXT))
       
   244 FULLASMOBJECTS := $(addprefix $(BUILDLOC)/,$(ASMOBJECTS))
       
   245 
       
   246 GENASMOBJECTS := $(foreach f,$(GENASMSOURCE),$(basename $(f)).$(OBJEXT))
       
   247 FULLGENASMOBJECTS := $(addprefix $(BUILDLOC)/,$(GENASMOBJECTS))
       
   248 
       
   249 FULLOBJECTS := $(FULLASMOBJECTS) $(FULLGENASMOBJECTS) $(FULLGENCPPOBJECTS) $(FULLBLCPPOBJECTS) $(FULLXSRCPPOBJECTS1) $(FULLXSRCPPOBJECTS2) $(FULLSPECXSRCPPOBJECTS) $(XSRLIB)
       
   250 
       
   251 ifdef PROCESS_INCLUDES
       
   252 
       
   253 GCCSRC := $(addprefix $(BUILDLOC)/,$(SRC))
       
   254 
       
   255 #Creation of headers
       
   256 FULLINCLUDES := $(foreach f,$(INCLUDES),$(basename $(f)).$(INCEXT))
       
   257 FULLINCLUDES := $(addprefix $(BUILDLOC)/,$(FULLINCLUDES))
       
   258 
       
   259 $(FULLINCLUDES) : $(BUILDLOC)/%.$(INCEXT) : %.inc
       
   260 	$(do_includes)
       
   261 
       
   262 FULLBLDINCLUDES := $(foreach f,$(BLDINCLUDES),$(basename $(f)).$(INCEXT))
       
   263 FULLBLDINCLUDES := $(addprefix $(BUILDLOC)/,$(FULLBLDINCLUDES))
       
   264 $(FULLBLDINCLUDES) : $(BUILDLOC)/%.$(INCEXT) : %.inc
       
   265 	$(do_includes)
       
   266 
       
   267 FULLPLATINCLUDES := $(foreach f,$(PLATINCLUDES),$(basename $(f)).$(INCEXT))
       
   268 FULLPLATINCLUDES := $(addprefix $(BUILDLOC)/,$(FULLPLATINCLUDES))
       
   269 $(FULLPLATINCLUDES) : $(BUILDLOC)/%.$(INCEXT) : %.inc
       
   270 	$(do_includes)
       
   271 
       
   272 FULLGENINCLUDES := $(foreach f,$(GENINCLUDES),$(basename $(f)).$(INCEXT))
       
   273 FULLGENINCLUDES := $(addprefix $(BUILDLOC)/,$(FULLGENINCLUDES))
       
   274 $(FULLGENINCLUDES) : $(BUILDLOC)/%.$(INCEXT) : %.inc
       
   275 	$(do_includes)
       
   276 
       
   277 else
       
   278 FULLINCLUDES:= $(addprefix $(SPECSRCDIR)/,$(INCLUDES))
       
   279 FULLPLATINCLUDES:= $(addprefix $(PLATSRCDIR)/,$(PLATINCLUDES))
       
   280 FULLGENINCLUDES:= $(addprefix $(GENSRCDIR)/,$(GENINCLUDES))
       
   281 FULLBLDINCLUDES:= $(addprefix $(H2BLDDIR)/,$(BLDINCLUDES))
       
   282 
       
   283 #Arm RVCT specifics here
       
   284 
       
   285 endif
       
   286 
       
   287 
       
   288 #Link
       
   289 $(TMPTARGET) : $(FULLOBJECTS)
       
   290 	$(do_link)
       
   291 
       
   292 #strip
       
   293 $(TARGET) : $(TMPTARGET)
       
   294 	$(do_strip)
       
   295 
       
   296 #CPP objects
       
   297 $(FULLGENCPPOBJECTS) : $(BUILDLOC)/%.$(OBJEXT) : $(GENSRCDIR)/%.cpp $(FULLHEADERS) $(FULLSPECHEADERS)
       
   298 	$(do_compile)
       
   299 
       
   300 $(FULLBLCPPOBJECTS) : $(BUILDLOC)/%.$(OBJEXT) : $(BLSRCDIR)/%.cpp $(FULLHEADERS) $(FULLSPECHEADERS)
       
   301 	$(do_compile)
       
   302 
       
   303 $(FULLXSRCPPOBJECTS1) : $(BUILDLOC)/%.$(OBJEXT) : $(XSRSRCDIR1)/%.cpp $(FULLHEADERS) $(FULLSPECHEADERS)
       
   304 	$(do_compile)
       
   305 
       
   306 $(FULLXSRCPPOBJECTS2) : $(BUILDLOC)/%.$(OBJEXT) : $(XSRSRCDIR2)/%.cpp $(FULLHEADERS) $(FULLSPECHEADERS)
       
   307 	$(do_compile)
       
   308 
       
   309 $(FULLSPECXSRCPPOBJECTS) : $(BUILDLOC)/%.$(OBJEXT) : $(SPECXSRSRCDIR)/%.cpp $(FULLHEADERS) $(FULLSPECHEADERS)
       
   310 	$(do_compile)
       
   311 
       
   312 
       
   313 #Asm objects
       
   314 $(FULLGENASMOBJECTS) : $(BUILDLOC)/%.$(OBJEXT) : $(GENSRCDIR)/$(GENASMSOURCE) $(FULLINCLUDES) $(FULLBUILTINCLUDES) $(FULLBUILTINCLUDES2) $(FULLBUILTINCLUDES3) $(FULLDRIVERINCLUDES) $(FULLARMINCLUDES) $(FULLBLDINCLUDES) $(FULLGENINCLUDES) $(FULLPLATINCLUDES)
       
   315 	$(do_asm)
       
   316 
       
   317 $(FULLASMOBJECTS) : $(BUILDLOC)/%.$(OBJEXT) : $(SPECSRCDIR)/$(ASMSOURCE) $(FULLINCLUDES) $(FULLBUILTINCLUDES) $(FULLBUILTINCLUDES2) $(FULLBLDINCLUDES) $(FULLGENINCLUDES) $(FULLPLATINCLUDES)
       
   318 	$(do_asm)
       
   319 
       
   320 # make the work directories
       
   321 $(TARGETDIR) :
       
   322 	$(call ifnotexistd,"$(TARGETDIR)")
       
   323 
       
   324 $(BUILDLOC) :
       
   325 	$(call ifnotexistd,"$(BUILDLOC)")
       
   326 
       
   327 
       
   328 MAKMAKE :
       
   329 	echo Nothing to do
       
   330 
       
   331 FREEZE :
       
   332 	echo Nothing to do
       
   333 
       
   334 LIB :
       
   335 	echo Nothing to do
       
   336 
       
   337 CLEANLIB :
       
   338 	echo Nothing to do
       
   339 
       
   340 RESOURCE :
       
   341 	echo Nothing to do
       
   342 
       
   343 FINAL :
       
   344 	echo Nothing to do
       
   345 
       
   346 BLD SAVESPACE : $(TARGETDIR) $(BUILDLOC) $(TARGET)
       
   347 	@echo BLD
       
   348 
       
   349 RELEASABLES :
       
   350 	@echo "$(TARGET)"
       
   351 
       
   352 CLEAN :
       
   353 	-$(ERASE) $(call slash2generic,"$(TARGET)")
       
   354 	-$(ERASE) $(call slash2generic,"$(BUILDLOC)/*.*")
       
   355