stdcpp/tsrc/Stdcpp_test/stlport/auto/stlport_vec/src/vec5.cpp
author William Roberts <williamr@symbian.org>
Thu, 22 Jul 2010 16:48:56 +0100
branchGCC_SURGE
changeset 45 4b03adbd26ca
parent 18 47c74d1534e1
parent 34 5fae379060a7
permissions -rw-r--r--
Catchup to latest Symbian^4


// STLport regression testsuite component.
// To compile as a separate example, please #define MAIN.

#include <iostream>
#include <vector>
#include <algorithm>

#ifdef MAIN 
#define vec5_test main
#endif

#if !defined (STLPORT) || defined(__STL_USE_NAMESPACES)
using namespace std;
#endif
int vec5_test(int, char**)
{
  int failures=0;
  cout<<"Results of vec5_test:"<<endl;
  int array [] = { 1, 4, 9, 16 };

  vector<int> v(array, array + 4);
  for(int i = 0; i < v.size(); i++)
    cout << "v[" << i << "] = " << v[i] << endl;
  
  if(1!=v[0])
    failures++;
  else if(4!=v[1])
    failures++;
  else if(9!=v[2])
    failures++;
  else if(16!=v[3])
    failures++;
  
  if(failures)
    return 1;        
  else
    return 0;
}
/*Results of vec5_test:
v[0] = 1
v[1] = 4
v[2] = 9
v[3] = 16
*/