testexecfw/tef/test/regressiontest/concurrenttest/src/te_regaccessstaticvarstep.cpp
changeset 0 3e07fef1e154
equal deleted inserted replaced
-1:000000000000 0:3e07fef1e154
       
     1 /*
       
     2 * Copyright (c) 2005-2009 Nokia Corporation and/or its subsidiary(-ies).
       
     3 * All rights reserved.
       
     4 * This component and the accompanying materials are made available
       
     5 * under the terms of "Eclipse Public License v1.0"
       
     6 * which accompanies this distribution, and is available
       
     7 * at the URL "http://www.eclipse.org/legal/epl-v10.html".
       
     8 *
       
     9 * Initial Contributors:
       
    10 * Nokia Corporation - initial contribution.
       
    11 *
       
    12 * Contributors:
       
    13 *
       
    14 * Description:  
       
    15 * Example CTestStep derived implementation
       
    16 *
       
    17 */
       
    18 
       
    19 
       
    20 
       
    21 /**
       
    22  @file te_regconcurrentteststep3.cpp
       
    23  @internalTechnology
       
    24 */
       
    25 #include "te_regaccessstaticvarstep.h"
       
    26 #include "te_regconcurrenttestsuitedefs.h"
       
    27 
       
    28 TInt CTe_RegAccessStaticVarStep::Iterator = 0;
       
    29 
       
    30 CTe_RegAccessStaticVarStep::~CTe_RegAccessStaticVarStep()
       
    31 /**
       
    32  * Destructor
       
    33  */
       
    34 	{
       
    35 	
       
    36 	}
       
    37 
       
    38 CTe_RegAccessStaticVarStep::CTe_RegAccessStaticVarStep()
       
    39 /**
       
    40  * Constructor
       
    41  */
       
    42 	{
       
    43 	// **MUST** call SetTestStepName in the constructor as the controlling
       
    44 	// framework uses the test step name immediately following construction to set
       
    45 	// up the step's unique logging ID.
       
    46 	SetTestStepName(KTe_RegAccessStaticVarStep);
       
    47 	}
       
    48 
       
    49 TVerdict CTe_RegAccessStaticVarStep::doTestStepL()
       
    50 /**
       
    51  * @return - TVerdict code
       
    52  * Override of base class pure virtual
       
    53  * Our implementation only gets called if the base class doTestStepPreambleL() did
       
    54  * not leave. That being the case, the current test result value will be EPass.
       
    55  */
       
    56 	{
       
    57 	INFO_PRINTF3(_L("Running %S in server %S, increase static variable 10 times..."), &ConfigSection(), &GetServerName());
       
    58 	for (TInt i=0; i<10; i++)
       
    59 		{
       
    60 		++Iterator;
       
    61 		}
       
    62 	return TestStepResult();
       
    63 	}